Most of the papers are copyrighted by ACM or IEEE. They are posted here for your personal use, to ensure timely dissemination of research work with no commercial purpose.
Authors with * signs contribute equally to the papers.
-
MAGE: A Multi-Agent Engine for Automated RTL Code Generation (code, website)\
span>
Yujie Zhao*, Hejia Zhang*, Hanxian Huang, Zhongming Yu and Jishen Zhao
arXiv preprint, 2024
-
The Hitchhiker's Guide to Programming and Optimizing CXL-Based Heterogeneous Systems
Zixuan Wang, Suyash Mahar, Luyi Li, Jangseon Park, Jinpyo Kim, Theodore Michailidis, Yue Pan, Tajana Rosing, Dean Tullsen, Steven Swanson, Kyung Chang Ryoo, Sungjoo Park, Jishen Zhao
arXiv preprint, 2024
-
Towards LLM-Powered Verilog RTL Assistant: Self-Verification and Self-Correction (blog)
Hanxian Huang, Zhenghan Lin, Zixuan Wang, Xin Chen, Ke Ding and Jishen Zhao
In the Hot Chips 2024 Tutorial on "AI for Chip Design", 2024
-
Learning to Maximize Mutual Information for Chain-of-Thought Distillation (code)\
span>
Xin Chen, Hanxian Huang, Yanjun Gao, Yi Wang, Jishen Zhao and Ke Ding
Proceedings of the Annual Meeting of the Association for Computational Linguistics (ACL), 2024
-
WikiDT: Visual-based Table Recognition and Question Answering Dataset (dataset)\
span>
Hui Shi, Yusheng Xie, Luis Goncalves, Sicun Gao and Jishen Zhao
In the Proceedings of the International Conference on Document Analysis and Recognition (ICDAR), 2024
-
GeoT: Tensor Centric Library for Graph Neural Network via Efficient Segment Reduction on GPUs (code)\
span>
Zhongming Yu, Genghan Zhang, Hanxian Huang, Xin Chen and Jishen Zhao
arXiv preprint, 2024
-
Fasor: A Fast Tensor Program Optimization Framework for Efficient DNN Deployment\
span>
Hanxian Huang, Xin Chen, and Jishen Zhao
In the Proceedings of the International Conference on Supercomputing (ICS)), 2024
-
Multi-modal Learning for WebAssembly Reverse Engineering\
span>
Hanxian Huang and Jishen Zhao
In the Proceedings of the International Symposium on Software Testing and Analysis (ISSTA), 2024 (ACM SIGSOFT Distinguished Paper Award)
-
Safety-Critical Scenario Generation Via Reinforcement Learning Based Editing
Haolan Liu, Liangjun Zhang, Siva Hari, Jishen Zhao
In the Proceedings of the International Conference on Robotics and Automation (ICRA), 2024
-
Sibyl: Forecasting Time-Evolving Query Workloads (blog)\
span>
Hanxian Huang, Tarique Siddiqui, Rana Alotaibi, Carlo Curino, Jyoti Leeka, Alekh Jindal, Jishen Zhao, Jesús Camacho-Rodríguez, Yuanyuan Tian
In the Proceedings of the International Conference on Management of Data (SIGMOD), 2024
-
TripLe: Revisiting Pretrained Model Reuse and Progressive Learning for Efficient Vision Transformer Scaling and Searching
Cheng Fu, Hanxian Huang, Zixuan Jiang, Yun Ni, Lifeng Nai, Gang Wu, Liqun Cheng, Yanqi Zhou, Sheng Li, Andrew Li, Jishen Zhao
In the Proceedings of the International Conference on Computer Vision (ICCV), 2023
-
Intellectual Property Protection of Deep Learning Systems via Hardware/Software Co-design
Huili Chen, Cheng Fu, Bita Darvish Rouhani, Jishen Zhao, Farinaz Koushanfaro
In the IEEE Design & Test, 2023
-
Everyone’s Preference Changes Differently: A Weighted Multi-Interest Model for Retrieval (code)
Hui Shi, Yupeng Gu, Yitong Zhou, Bo Zhao, Sicun Gao, Jishen Zhao
In the Proceedings of the International Conference on Machine Learning (ICML), 2023
-
GALU: A Genetic Algorithm Framework for Logic Unlocking
Huili Chen, Cheng Fu, Jishen Zhao, Farinaz Koushanfar
In the Digital Threats: Research and Practice, 2023
-
HyperGef: A Framework Enabling Efficient Fusion for Hypergraph Neural Network (code)
Zhongming Yu, Guohao Dai, Shang Yang, Genghan Zhang, Hengrui Zhang, Feiwen Zhu, Jun Yang, Jishen Zhao, Yu Wang
In the Proceedings of the Conference on Machine Learning and Systems (MLSys), 2023
-
Interpretable and Flexible Target-Conditioned Neural Planners For Autonomous Vehicles
Haolan Liu, Jishen Zhao, Liangjun Zhang
In the Proceedings of the IEEE International Conference on Robotics and Automation (ICRA), 2023
-
ENTS: Flush-and-Fence-Free Failure Atomic Transactions
Yun Joon Soh, Steven Swanson, Jishen Zhao
In the Proceedings of the International Symposium on Memory Systems (MEMSYS), 2023
-
NVLeak: Off-Chip Side-Channel Attacks via Non-Volatile Memory Systems (code)
Zixuan Wang, Mohammadkazem Taram, Daniel Moghimi, Steven Swanson, Dean Tullsen, Jishen Zhao
In the Proceedings of the 32nd USENIX Security Symposium (SEC), 2023
-
Q-gym: An Equality Saturation Framework for DNN Inference Exploiting Weight Repetition
Cheng Fu, Hanxian Huang, Bram Wasti, Chris Cummins, Riyadh Baghdadi, Kim Hazelwood, Yuandong Tian, Jishen Zhao, and Hugh Leather
In the Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT), 2022
-
PMShifter: Enabling Persistent Memory Fluidness in Linux
Theodore Michailidis, Steven Swanson, and Jishen Zhao
In the Proceedings of the International ACM SIGOPS Asia-Pacific Workshop on Systems (APSys), 2022
-
Enabling Efficient Large-Scale Deep Learning Training with Cache Coherent Disaggregated Memory Systems
Zixuan Wang, Joonseop Sim, Euicheol Lim, and Jishen Zhao
In the Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA), 2022 (Acceptance rate: 80/262=30%)
-
Learning Bounded Context-Free-Grammar via LSTM and the Transformer: The Difference and the Explanations (code)
Hui Shi, Sicun Gao, Yuandong Tian, Xinyun Chen, and Jishen Zhao
In the Proceedings of the 36th AAAI Conference on Artificial Intelligence (AAAI), 2022 (Acceptance rate: 1349/9020=15%)
-
Learn-to-Share: A Hardware-friendly Transfer Learning Framework Exploiting Computation and Parameter Sharing
Cheng Fu, Hanxian Huang, Xinyun Chen, Yuandong Tian, and Jishen Zhao
In the Proceedings of International Conference on Machine Learning (ICML), 2021 (Acceptance rate: 166/5513=3%, long presentation)
-
Ayudante: A Deep Reinforcement Learning Approach to Assist Persistent Memory Programming
Hanxian Huang, Zixuan Wang, Juno Kim, Steven Swanson, and Jishen Zhao
In the Proceedings of USENIX Annual Technical Conference (USENIX ATC), 2021 (Acceptance rate: 64/341 = 19%)
-
ProFlip: Targeted Trojan Attack with Progressive Bit Flips
Huili Chen, Cheng Fu, Jishen Zhao, and Farinaz Koushanfar
In International Conference on Computer Vision (ICCV), 2021 (Acceptance rate: 1617/6236=26%)
-
Characterizing and Modeling Non-Volatile Memory Systems (code, blog)
Zixuan Wang, Xiao Liu, Jian Yang, Theodore Michailidis, Steven Swanson, and Jishen Zhao
In IEEE Micro Top Picks from Computer Architecture Conferences, 2021
-
GALU: A Genetic Algorithm Framework for Logic Unlocking
Huili Chen, Cheng Fu, Jishen Zhao, and Farinaz Koushanfar
In Digital Threats: Research and Practice, 2021
-
FPRA: A Fine-grained Parallel RRAM Architecture
Xiao Liu, Minxuan Zhou, Rachata Ausavarungnirun, Sean Eilert, Ameen Akel, Tajana Rosing, Vijaykrishnan Narayanan, and Jishen Zhao
In the Proceedings of ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2021
-
Continuous CNN For Nonuniform Time Series
Hui Shi, Yang Zhang, Hao Wu, Shiyu Chang, Kaizhi Qian, Mark Hasegawa-Johnson, and Jishen Zhao
In the Proceedings of IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), 2021
-
Suraksha: A Framework to Analyze the Safety Implications of Perception Design Choices in AVs
Hengyu Zhao, Siva Kumar Sastry Hari, Timothy Tsai, Michael B. Sullivan, Stephen W. Keckler, and Jishen Zhao
In the 32nd International Symposium on Software Reliability Engineering (ISSRE), 2021 (Acceptance rate: 52/189 = 27.5%)
-
Characterizing and Modeling Non-Volatile Memory Systems (code, blog)
Zixuan Wang, Xiao Liu, Jian Yang, Theodore Michailidis, Steven Swanson, and Jishen Zhao
In the Proceedings of the International Symposium on Microarchitecture (MICRO), 2020 (Acceptance rate: 82/422=19%, IEEE Micro top picks from computer architecture conferences 2021)
-
SubZero: Zero-copy IO for Persistent Main Memory FileSystems
Juno Kim, Yun Joon Soh, Joseph Izraelevitz, Jishen Zhao, and Steven Swanson
In the Proceedings of the 11th ACM SIGOPS Asia-Pacific Workshop on Systems (APSys), 2020 (Best Paper Award)
-
Enhancing Model Parallelism in Neural Architecture Search for Multi-device System
Cheng Fu, Huili Chen, Zhenheng Yang, Farinaz Koushanfar, Yuandong Tian, and Jishen Zhao
In IEEE Micro Special Issue on Machine Learning for Systems, 2020
-
Driving Scenario Perception-Aware Computing System Design in Autonomous Vehicles
Hengyu Zhao, Yubo Zhang, Pingfan Meng, Hui Shi, Li Erran Li, Tiancheng Lou and Jishen Zhao
In the Proceedings of the 38th International Conference on Computer Design (ICCD), 2020 (Acceptance rate: 62/221=28%, Best Paper in Track)
-
Implementing Binary Neural Networks in Memory with Approximate Accumulation
Saransh Gupta, Mohsen Imani, Hengyu Zhao, Fan Wu, Jishen Zhao, and Tajana Rosing
In the Proceedings of ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2020
-
Vehicular and Edge Computing for Emerging Connected and Autonomous Vehicle Applications
Sabur Baidya, Yu-Jen Ku, Hengyu Zhao, Jishen Zhao, and Sujit Dey
In the Proceedings of the 57th ACM/IEEE Design Automation Conference (DAC), 2020 (Invited Paper)
-
Safety Score: A Quantitative Approach to Guiding Safety-Aware Autonomous Vehicle Computing System Design
Hengyu Zhao, Yubo Zhang, Pingfan Meng, Hui Shi, Erran Li, Tiancheng Lou, and Jishen Zhao
In the Proceedings of IEEE Intelligent Vehicles Symposium (IV), 2020
-
Deep symbolic superoptimization without human knowledge (code)
Hui Shi, Yang Zhang, Xinyun Chen, Yuandong Tian, and Jishen Zhao
In the Proceedings of International Conference on Learning Representations (ICLR), 2020 (Acceptance rate: 687/2594=27%)
-
Coda: An End-to-End Neural Program Decompiler (code, blog)
Cheng Fu, Huili Chen, Haolan Liu, Xinyun Chen, Yuandong Tian, Farinaz Koushanfar, and Jishen Zhao
In the Proceedings of Thirty-third Conference on Neural Information Processing System (NeurIPS), 2019 (Acceptance rate: 1428/6743=21%)
-
GenUnlock: An Automated Genetic Algorithm Framework for Unlocking Logic Encryption
Huili Chen, Cheng Fu, Jishen Zhao, and Farinaz Koushanfar
In the Proceedings of the International Conference On Computer Aided Design (ICCAD), 2019 (Best Paper Nominee)
-
Persistent Memory Workload Characterization: A Hardware Perspective
Xiao Liu, Bhaskar Jupudi, Pankaj Mehra, and Jishen Zhao
In the Proceedings of IEEE International Symposium on Workload Characterization (IISWC), 2019
-
DeepMarks: A Secure Finger- printing Framework for Digital Rights Management of Deep Learning Models
Huili Chen, Bita Darvish Rouhani, Cheng Fu, Jishen Zhao, and Farinaz Koushanfar
In the Proceedings of the International Conference On Multi- media Retrieval (ICMR), 2019
-
Transitioning scientific applications to using non-volatile memory for resilience
Brandon Nesterenko, Xiao Liu, Qing Yi, Jishen Zhao, and Jiange Zhang
In the Proceedings of the International Symposium on Memory Systems (MEMSYS), 2019
-
Binary Star: Coordinated Reliability in Heterogeneous Memory Systems for High Performance and Scalability
Xiao Liu, David Roberts, Rachata Ausavarungnirun, Onur Mutlu, and Jishen Zhao
In the Proceedings of the International Symposium on Microarchitecture (MICRO), 2019 (Acceptance rate: 79/344=23%)
-
SSP: Eliminating Redundant Writes in Failure-Atomic NVRAMs via Shadow Sub-Paging
Yuanjiang Ni, Jishen Zhao, Heiner Litz, Daniel Bittman, Ethan Miller
In the Proceedings of the International Symposium on Microarchitecture (MICRO), 2019 (Acceptance rate: 79/344=23%)
-
Towards Safety-Aware Computing System Design in Autonomous Vehicles (blog)
Hengyu Zhao, Yubo Zhang, Pingfan Meng, Hui Shi, Li Erran Li, Tiancheng Lou, and Jishen Zhao
In arXiv repo
-
DeepInspect: An Automated Trojan Detection Framework for Neural Networks
Huili Chen, Cheng Fu, Jishen Zhao, and Farinaz Koushanfar
In the International Joint Conference on Artificial Intelligence (IJCAI), 2019 (Acceptance rate: 850/4752=18%)
-
HR3AM: a Heat Resilient design for RRAM based neuromorphic computing
Xiao Liu, Minxuan Zhou, Tajana Rosing, and Jishen Zhao
In the Proceedings of ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2019
-
Vorpal: Vector Clock-Inspired Ordering For Large Persistent Memory Systems
Kunal Korgaonkar, Joe Izraelevitz, Jishen Zhao, and Steven Swanson
In the Proceedings of the 38th ACM Symposium on Principles of Distributed Computing (PODC 2019)
-
Basic Performance Measurements of the Intel Optane DC Persistent Memory Module
Joseph Izraelevitz, Jian Yang, Lu Zhang, Juno Kim, Xiao Liu, Amirsaman Memaripour, Yun Joon Soh, Zixuan Wang, Yi Xu, Subramanya R. Dulloor, Jishen Zhao, and Steven Swanson
In arXiv repo
-
DeepAttest: An End-to-End Attestation Framework for Deep Neural Networks
Huili Chen, Cheng Fu, Jishen Zhao, and Farinaz Koushanfar
In the Proceedings of the 46th International Symposium on Computer Architecture (ISCA), 2019 (Acceptance rate: 62/365=17%)
-
Rorg: Service Robot Software Management with Linux Containers
Shengye Wang, Xiao Liu, Jishen Zhao, and Henrik Iskov Christensen
In the Proceedings of the International Conference on Robotics and Automation (ICRA), 2019
-
String Figure: A Scalable and Elastic Memory Network Architecture
Matheus A. Ogleari, Ye Yu, Chen Qian, Ethan L. Miller, and Jishen Zhao
In the Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA), 2019
(lightning presentation) (Acceptance rate: 46/233=20%)
-
PMTest: A Fast and Flexible Testing Framework for Persistent Memory Programs
Sihang Liu, Yizhou Wei, Jishen Zhao, Aasheesh Kolli, Samira Khan
In the Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2019 (Acceptance rate: 74/350=21%)
-
Processing-in-Memory for Energy-efficient Neural Network Training: A Heterogeneous Approach
Jiawen Liu*, Hengyu Zhao*, Matheus A. Ogleari, Dong Li, and Jishen Zhao
In the Proceedings of the International Symposium on Microarchitecture (MICRO), 2018 (Acceptance rate: 74/348=21%)
-
Persistence Parallelism Optimization: A holistic approach from memory bus to RDMA network
Xing Hu, Matheus A. Ogleari, Jishen Zhao, Shuangchen Li, Abanti Basak, and Yuan Xie
In the Proceedings of the International Symposium on Microarchitecture (MICRO), 2018 (Acceptance rate: 74/348=21%)
-
Leveraging MLC STT-RAM for Energy-efficient CNN Training
Hengyu Zhao and Jishen Zhao
In the Proceedings of the International Symposium on Memory Systems (MEMSYS), 2018
-
Parana: A Parallel Neural Architecture Considering Thermal Problem of 3D Stacked Memory
Shouyi Yin, Shibin Tang, Xinhan Lin, Peng Ouyang, Fengbin Tu, Leibo Liu, Jishen Zhao, Cong Xu, Shuangchen Li, Yuan Xie, and Shaojun Wei
In IEEE Transactions on Parallel and Distributed Systems (TPDS), 2018
-
Reducing NVM Writes with Optimized Shadow Paging
Yuanjiang Ni, Jishen Zhao, Daniel Bittman, and Ethan Miller
In the 10th USENIX Workshop on Hot Topics in Storage and File Systems (HotStorage), 2018
-
GraphH: A Processing-in-Memory Architecture for Large-scale Graph Processing
Guohao Dai, Tianhao Huang, Yuze Chi, Jishen Zhao, Guangyu Sun, Yongpan Liu, Yu Wang, Yuan Xie, and Huazhong Yang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2018
-
Steal but No Force: Efficient Hardware Undo+Redo Logging for Persistent Memory Systems
Matheus A. Ogleari, Ethan L. Miller, and Jishen Zhao
In the Proceedings of International Symposium on High-Performance Computer Architecture (HPCA), 2018 (lightning presentation) (Acceptance rate: 54/260=21%)
-
Approximate Image Storage with Multi-level Cell STT-MRAM Main Memory
Hengyu Zhao*, Linuo Xue*, Ping Chi, and Jishen Zhao
In the Proceedings of the International Conference On Computer Aided Design (ICCAD), 2017 (Acceptance rate: 105/399=26%)
-
PRESCOTT: Preset-based Cross-Point Architecture for Spin-Orbit-Torque Magnetic Random Access Memory
Liang Chang, Zhaohao Wang, Alvin Oliver Glova, Jishen Zhao, Youguang Zhang, Yuan Xie, and Weisheng Zhao
In the Proceedings of the International Conference On Computer Aided Design (ICCAD), 2017 (Acceptance rate: 105/399=26%)
-
Logging in persistent memory: to cache, or not to cache?
Mengjie Li, Matheus A. Ogleari, and Jishen Zhao
In the Proceedings of the International Symposium on Memory Systems (MEMSYS), 2017
-
Leave the Cache Hierarchy Operation as It Is: A New Persistent Memory Accelerating Approach
Chun-Hao Lai, Jishen Zhao, and Chia-Lin Yang
In the Proceedings of the 54th Design Automation Conference (DAC), 2017 (Acceptance rate: 161/676=24%)
-
Relaxing Persistent Memory Constraints with Hardware-Driven Undo+Redo Logging
Matheus A. Ogleari, Ethan L. Miller, and Jishen Zhao
STABLE Technical Report No. 2016-002, University of California, Santa Cruz, 2016
-
A Unified Memory Network Architecture for In-Memory Computing in Commodity Servers
Jia Zhan, Itir Akgun, Jishen Zhao, Al Davis, Paolo Faraboschi, Yuangang Wang, and Yuan Xie
In the Proceedings of the International Symposium on Microarchitecture (MICRO), 2016 (Acceptance rate: 61/283=22%)
-
Integrated Thermal Analysis for Processing In Die-Stacking Memory
Yuxiong Zhu, Borui Wang, Dong Li, and Jishen Zhao
In the Proceedings of International Symposium on Memory Systems (MEMSYS), 2016
-
Performance Implications of Processing-in-Memory Designs on Data-Intensive Applications
Borui Wang, Martin Torres, Dong Li, Jishen Zhao, and Florin Rusu
5th Workshop on Heterogeneous and Unconventional Cluster Architectures and Applications, 2016
-
A Novel Processing-in-memory Architecture for Neural Network Computation in ReRAM-based Main Memory
Ping Chi, Shuangchen Li, Cong Xu, Tao Zhang, Jishen Zhao, Yongpan Liu, Yu Wang, and Yuan Xie
In the Proceedings of the 43rd International Symposium on Computer Architecture (ISCA), 2016 (Acceptance rate: 54/288=19%)
-
LAP: Loop-Block Aware Inclusion Properties for Energy-Efficient Asymmetric Last Level Caches
Hsiang-Yun Cheng, Jishen Zhao, Jack Sampson, Mary Jane Irwin, Aamer Jaleel, Yu Lu, and Yuan Xie
In the Proceedings of the 43rd International Symposium on Computer Architecture (ISCA), 2016 (Acceptance rate: 54/288=19%)
-
Pinatubo: A Processing in Non-volatile Memory Architecture for Bulk Bitwise Operations
Shuangchen Li, Cong Xu, Jishen Zhao, Yu Lu, and Yuan Xie
In the Proceedings of the 53rd Design Automation Conference (DAC), 2016 (Acceptance rate: 152/876=17%)
-
Hybrid Drowsy SRAM and STT-RAM Buffer Designs for Dark-Silicon-Aware NoC
Jia Zhan, Jin Ouyang, Fen Ge, Jishen Zhao, and Yuan Xie
IEEE Transactions on Very Large Scale Integration Systems, Vol. PP, Issue 99, pp. 1-14 2016
-
Using Memory-style Storage to Support Fault Tolerance in Data Centers
Xiao Liu, Qing Yi, and Jishen Zhao
USENIX Workshop on Cool Topics in Sustainable Data Centers (CoolDC), 2016
-
BACH: A Bandwidth-Aware Hybrid Cache Hierarchy Design with Nonvolatile Memories
Jishen Zhao, Cong Xu, Tao Zhang, and Yuan Xie
Journal of Computer Science and Technology, Vol. 31, Issue 1, 2016
-
ThyNVM: Enabling Software-Transparent Crash Consistency in Persistent Memory Systems
Jinglei Ren, Jishen Zhao, Samira Khan, Jongmoo Choi, Yongwei Wu, and Onur Mutlu
In the Proceedings of the International Symposium on Microarchitecture (MICRO), 2015 (Acceptance rate: 61/283=21%)
-
Overview of 3D Architecture Design Opportunities and Techniques
Jishen Zhao, Qiaosha Zou, and Yuan Xie
IEEE Design & Test, Issue 99, 2015
-
Buri: Scaling Big-memory Computing with Hardware-based Memory Expansion
Jishen Zhao, Sheng Li, Jichuan Chang, John L. Byrne, Laura L. Ramirez, Kevin Lim, Yuan Xie, and Paolo Faraboschi
ACM Transactions on Architecture and Code Optimization (TACO), Vol. 12, Issue 3, 2015
-
Leveraging nonvolatility for architecture design with emerging NVM
Shuangchen Li, Ping Chi, Jishen Zhao, Kwang-Ting Cheng, and Yuan Xie
In the Proceedings of the 4th IEEE Non-Volatile Memory System and Applications Symposium (NVMSA), 2015 (Invited paper)
-
Die-stacking architecture
Yuan Xie and Jishen Zhao
Synthesis Lectures on Computer Architecture. Morgan& Claypool Publishers
-
Memory and storage system design with nonvolatile memory technologies
Jishen Zhao, Cong Xu, Ping Chi, and Yuan Xie
IPSJ Transactions on System LSI Design Methodology (TSLDM), Vol. 8 (2015) pp. 2-11 (Invited paper)
-
History-Assisted Adaptive-Granularity Caches (HAAG$) for High Performance 3D DRAM Architectures
Ke Chen, Sheng Li, Jung Ho Ahn, Naveen Muralimanohar, Jishen Zhao, Cong Xu, Seongil O, Yuan Xie, Jay B. Brockman, and Norman P. Jouppi
In the Proceedings of the International Conference on Supercomputing (ICS), 2015 (Acceptance Rate: 40/160=25%)
-
Core vs. Uncore: The Heart of Darkness
Hsiang-Yun Cheng, Jia Zhan, Jishen Zhao, Yuan Xie, Jack Sampson, and Mary Jane Irwin
In the Proceedings of the 52nd Design Automation Conference (DAC), 2015 (Invited paper)
-
DimNoC: A Dim Silicon Approach Towards Power-Efficient On-Chip Network
Jia Zhan, Jin Ouyang, Fen Ge, Jishen Zhao, and Yuan Xie
In the Proceedings of the 52nd Design Automation Conference (DAC), 2015 (Acceptance Rate: 162/789=20.5%)
-
FIRM: Fair and High-Performance Memory Control for Persistent Memory Systems
Jishen Zhao, Onur Mutlu, and Yuan Xie
In the Proceedings of the International Symposium on Microarchitecture (MICRO), 2014 (Acceptance rate: 53/279=19%)
-
Kiln: Closing the Performance Gap Between Systems With and Without Persistence Support
Jishen Zhao, Sheng Li, Doe Hyun Yoon, Yuan Xie, and Norman P. Jouppi
In the Proceedings of the International Symposium on Microarchitecture (MICRO), 2013 (Acceptance rate: 39/239=16%, Best Paper Honorable Mention Award)
-
Optimizing GPU Energy Efficiency with 3D Diestacking Graphics Memory and Reconfigurable Memory Interface
Jishen Zhao, Guangyu Sun, Gabriel H. Loh, and Yuan Xie
ACM Transactions on Architecture and Code Optimization (TACO), Vol. 10, No. 4, pp.24:1-24:25, 2013
-
A Case for Efficient Hardware/Software Cooperative Management of Storage and Memory
Justin Meza, Yixin Luo, Samira Khan, Jishen Zhao, Yuan Xie, and Onur Mutlu
In the Proceedings of the 5th Workshop on Energy-Efficient Design (WEED), 2013.
-
MAGE: adaptive granularity and ECC for resilient and power efficient memory systems
Sheng Li, Doe Hyun Yoon, Ke Chen, Jishen Zhao, Jung Ho Ahn, Jay B. Brockman, Norman P. Jouppi, and Yuan Xie
In the Proceedings of the International Conference for High Performance Computing, Networking, Storage, and Analysis (SC), 2012 (Acceptance rate: 100/472=21%)
-
Optimizing Bandwidth and Power of Graphics Memory with Hybrid Memory Technologies and Adaptive Data Migration
Jishen Zhao and Yuan Xie
In the Proceedings of the International Conference on Computer-Aided Design (ICCAD), 2012 (Acceptance rate: 82/338=24%)
-
Energy-efficient GPU Design with Reconfigurable In-package Graphics Memory
Jishen Zhao, Guangyu Sun, Gabriel H. Loh, and Yuan Xie
In the Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2012 (Acceptance rate: 34/213=16%, full paper)
-
Bandwidth-Aware Reconfigurable Cache Design with Hybrid Memory Technologies
Jishen Zhao, Cong Xu, and Yuan Xie
In the Proceedings of the International Conference on Computer-Aided Design (ICCAD), 2011 (Acceptance rate: 106/349=30%)
-
Moguls: a Model to Explore Memory Hierarchy for Throughput Computing
Guangyu Sun, Christopher Hughes, Changkyu Kim, Jishen Zhao, Cong Xu, Yuan Xie, and Yen-Kuang Chen
In the Proceedings of the International Symposium on Computer Architecture (ISCA), 2011 (Acceptance rate: 40/208=19%)
-
An Energy-Efficient 3D CMP Design with Fine-Grained Voltage Scaling
Jishen Zhao, Xiangyu Dong, and Yuan Xie
In the Proceedings of the IEEE/ACM Design, Automation, and Test in Europe (DATE) Conference, 2011
-
Architectural Benefits and Design Challenges for Three-dimensional Integrated Circuits
Jing Xie, Jishen Zhao, and Yuan Xie
In the Proceedings of IEEE biennial Asia Pacific Conference on Circuits and Systems (APCCAS), 2010
-
3D-NonFAR: Three-Dimensional Non-Volatile FPGA Architecture Using Phase Change Memory
Yibo Chen, Jishen Zhao, and Yuan Xie
In the Proceedings of ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2010 (Acceptance rate:52/210=25%)
-
Fabrication Cost Analysis and Cost-Aware Design Space Exploration for 3D ICs
Xiangyu Dong, Jishen Zhao, and Yuan Xie
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 29. No. 12, pp.1959-1972, Dec. 2010
-
Cost-Aware Three-Dimensional (3D) Many-Core Multiprocessor Design
Jishen Zhao, Xiangyu Dong, and Yuan Xie
In the Proceedings of IEEE Design Automation Conference (DAC), 2010 (Acceptance rate: 148/607=24%)