CSE 240A:
Graduate Computer Architecture


Announcements - Assignments - Project

Last updated: Saturday, 04-Dec-2010 14:04:41 PST


Instructor

Michael B. Taylor
email
EBU 3B 4110 office

Teaching Assistant

Sravanthi Kota Venkata
email
EBU 3B 3260 office
gchat

Class Meetings

DateTimeLocation
Lecture TuTh 2p-3:20p Centr 109
Final 12/09/2010 3p-5:59p TBA


Join and monitor this google group immediately:
cse-240a-fa10
     

Office Hours

Prof Michael Taylor
where CSE Building, RM 4110
when Tuesdays, walk down with me immediately after class, 3:30-4:30
 
TA Sravanthi Kota Venkata
when MW 3:30pm-4:30pm (by appointment if there is no assignment due that week)
where CSE Building, 3217

Course Description


The course examines modern processor design, including technology, power, out-of-order superscalars, advanced branch prediction, advanced memory system, and selected topics on multicore and recent emerging research.

Required Textbook

Computer Architecture: A Quantitative Approach,
Hennessy & Patterson & Morgan Kaufmann, 4th Edition

You MUST have your own copy of the book, and this edition of the book.

Grading

Project 30% To be discussed.
Midterm 20% Closed book.
Final 30% Cumulative; closed book.
Homework 7% Homeworks assigned through the course. They aren't worth much, but if you don't do them, you will probably bomb the exams.
Check-/Check+/Check Due in TA's mailbox 5 minutes before class.
Paper Summaries 7% Periodically through the class; submit via google form at least 1 hour before class.
Class Participation 6% or more In class, or in the google group

Grading Appeal Process If you feel there has been an error in how a test was graded, you have one week from when the assignment is return to bring it to our attention. There is no regrading of HW because of the small weight. You must submit to the appropriate TA a written description of the problem issue, what you feel the fair resolution is, and your unmodified coursework. We photocopy a random sampling of student exams to detect inappropriate modifications. Note that we regrade the entire exam; so your grade may either rise or fall after resubmission. Should, after you appeal, you be unsatisfied with the TA's treatment of the issue, you may resubmit the appeal to the professor.


Schedule

NOTE: Subject to skew and jitter. We reserve the right to change this. I will post the slides for most lectures. Typically I am modifying the slides right up to lecture, and sometimes after lecture (for instance if I decide to flush out some details or clarify a slide), so I will typically wait to post them after the lecture occurs. Since the slides contain material I am not allowed to distribute publicly, they may only available from on campus or via the campus proxy. Instructions for setting up the proxy can be found here. Using the proxy is useful in general, since it gives you full access to the libraries and other resources from off campus.

Note: The format below is: < date, lecture topic for that date, reading assigned that date. >
Holiday:20101111
Holiday:20101125
Thu, September 23 Overview, Administrivia, Tech Trends Read Appendix A (if your arch is rusty), 1.1-1.12 slides
Tue, September 28 Technology Read Appendix B (if your arch is rusty); Read this paper for Tues, Oct 5. slides
Thu, September 30 Technology Scaling, Performance slides
Tue, October 05
Thu, October 07 Performance Read Ultrasparc I and III papers (See Google Group) slides
Tue, October 12 Single Issue, Exceptions, Pipeline Evolution Read 2.1, 2.2, 2.3, 2.9:"Increasing Fetch Bandwidth"
Thu, October 14 UltraSparc, continued; Front Ends Read 2.4-2.8,2.10-2.12: Out-of-order Superscalars slides
Tue, October 19 Front Ends Read MIPS R10K and 21264 papers (See Google Group)
Thu, October 21 In-order Superscalar slides
Tue, October 26 Out-of-order Review C.1-C.3; Read 5.1-5.3; slides
Thu, October 28 ROB Review C.4-C.5; Read 5.4-5.9; slides
Tue, November 02 MIPS/21264; Caches
Thu, November 04 Caches
Tue, November 09 MIDTERM EXAM
Tue, November 16 Virtual Memory Read Exploiting choice: instruction fetch and issue on an implementable simultaneous multithreading processor, Dean M. Tullsen, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Jack L. Lo, and Rebecca L. Stamm, ISCA '96: Proceedings of the 23rd annual international symposium on Computer architecture, New York, NY, USA, 1996, pages 191-202.

Also read Niagara: A 32-way Multithreaded Sparc Processors, IEEE Micro 25(2):21-29, 2005.
slides
Thu, November 18 Multithreading

Read WaveScalar, Steven Swanson, Ken Michelson, Andrew Schwerin, and Mark Oskin, MICRO 36: Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture, 2003

Read The Raw Microprocessor: A Computational Fabric for Software Circuits and General Purpose Programs,IEEE Micro, March/April 2002. (pdf)

Read Tilera ISSCC 2008 Paper

Tue, November 23 Project 1 Due
Tue, November 30 Tiled Cores Project 1 Award Ceremony (approx)

Read Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction and

Read Conservation Cores: Reducing the Energy of Mature Computations
Thu, December 02 Power-Centered ISAs and Microarchitectures Prepare for Final

Academic Integrity

Cheating is unacceptable. Our policy in this class is to aggressively pursue cheaters, and to ensure that they receive the maximum penalty allowable under the University of California academic system. If you are choosing between not turning in an assignment, or using somebody's else work, do yourself a favor and just don't turn it in. You are facing a permanent mark on your academic record and a certainty of having to explain it to any future employer or school that you apply to.

Exams You must work independently on exams. You may be held responsible if you allow others to copy your work.

Project For the project, if students are allowed to work in groups, you may obviously work with your group members. With non-group members, you may brainstorm about ideas, but you must write your own code. We will use automatic software for finding inappropriate similarities between student code, and substantial similarities in student work (including to previous teachings of the class) could result in referring the student to UCSD for cheating.

HW For homeworks, you may study and work with other students. However, you may not look at their write-ups. and you should not look at another student's homeworks before you have done the assignment yourself. A solutions manual, meant only for instructors, exists for this text. Obtaining or using this or other materials (such as other faculty's posted solutions to book problems) is cheating.

Announcements

12/04/2010 - Homework 4 solutions released.

12/02/2010 - Office hours on Monday (Dec 6, 2010) will be held in 3217 from 6.30pm - 7.30pm (instead of 3.30pm - 4.30pm).

11/30/2010 - Homework 4 has been posted on the assignments page. You are not required to turn in the solutions, but we HIGHLY RECOMMEND you do the homework as preparation for the final exam. Solutions will be posted on Saturday.

11/30/2010 - Winners of Prefetcher Competition have been announced. Click here.

11/19/2010 - Project submission deadline extended to Nov 23, 11.59pm.

11/16/2010 - Mid-term solutions posted. Look in the Assignments tab.

11/05/2010 - Homework 3 solutions released.

11/03/2010 - Homework 2 (Q6 solution) and Homework 3 updated.

11/02/2010 - The details for project have been posted here! It is due on Tuesday, November 23 at 2.00pm. Submission is via e-mail to Sravanthi. If you have any questions (no coding, please), contact Sravanthi.

11/02/2010 - Homework 3, Q6 updated.

11/01/2010 - Homework 3 has been posted on the assignments page. You are not required to turn in the solutions, but we HIGHLY RECOMMEND you do the homework as preparation for the mid-term exam. Solutions will be posted on Friday night.

11/01/2010 - Solutions to Homework 2 updated (for Q5, Superscalar pipeline diagram).

10/31/2010 - Solutions for HW2 have been posted. You can collect your HW2 in the office hour (EBU3 3217) on Monday or Wednesday. Please DO NOT go to Sravanthi's office 3260 to collect them. Homework is graded as C+/C/C- (C stands Check, and not your grade!)

10/23/2010 - Homework 2 updated.

10/20/2010 - Homework 2 has been posted on the assignments page. It is due Thursday, October 28 at 2pm. Please leave the HW copies in Sravanthi's mailbox (room 2237 of the CSE building). Make sure you read the homework policies.

10/13/2010 - Small corrections made to HW1 solutions (for Q1).

10/13/2010 - Solutions for HW1 have been posted. You can collect your HW1 in the office hour today. Re-evaluations concerning only totaling mistake will be entertained. Also, from the next HW onwards, it is STRONGLY RECOMMENDED to work in groups upto 2.

10/08/2010 - Before you subscribe to the CSE240A google group, make sure you modify your (google) account names to reflect your FULL NAME (first and last). Existing members should do this modification ASAP. (You can do this by editing your personal info in your "My Accounts" page).

10/05/2010 - Homework 1 has been posted on the assignments page. It is due Tuesday, October 12 at 2pm. Please leave the HW copies in Sravanthi's mailbox (room 2237 of the CSE building). Make sure you read the homework policies.

9/29/10 - Paper Analysis Submission site is up.

9/25/10 - Sravanthi's office hour on Monday is regular, yet the one on Wednesday is by appointment if no assignment is due on that week.

9/25/10 - If you would like to contact Michael or Sravanthi by e-mail, please include the phrase "CSE240A" in the subject so that your e-mail is not eaten by spam filters.

9/25/10 - The course website is now up and functional. Please check here regularly for announcements.



Assignments

Note: If not otherwise specified, the readings and writeups are assigned on the day that they are listed and due at the next class. (Yes, you do a individual writeup for each paper.)

Homework Policies:

* For all homeworks, please create a cover page. The cover page should contain the following info: course (CSE240A), term (Fall 2010), homework number (e.g. HW #1), name(s), and date. To aid in fair grading, please do not put your name(s) on any page other than the cover page.
* You are STRONGLY RECOMMENDED to work in groups of 2. If working with a group, you only need to submit one writeup. All members will receive the same grade. Typed solutions will make the TA smile but are not strictly required.
* All homeworks should be submitted to the TA in her mailbox (room 2237 in the CSE building) on or before the deadline. No late assignments will be accepted! DO NOT submit your homework via e-mail.

Homework 1. Due: Tuesday, October 12 before 2pm. Solutions
Homework 2. Due: Thursday, October 28 before 2pm. Solutions
Homework 3. Due: Friday, November 5 before 11.59pm. Solutions
Midterm Solutions. Schematic for Q2(b) in the midterm here
Homework 4. Due: Friday, December 3 before 11.59pm. Solutions